`
吕不为
  • 浏览: 67034 次
  • 性别: Icon_minigender_1
  • 来自: 北京
社区版块
存档分类
最新评论

fsdb FreeBSD下的文件系统调试工具

阅读更多

对于硬盘出现问题,我们经常束手无策,针对BSD文件系统,Freebsd推出了fsdb文件系统调试工具,我们可以通过这个交互式的工具,来修复inode的错误,也可以从硬盘上恢复原始信息。

-r 以只读模式修复,不写任何东西到硬盘

-d 允许附加的调试信息输出

分享到:
评论

相关推荐

    开源项目-fishy-fsdb.zip

    开源项目-fishy-fsdb.zip,My Christmas project: FSDB, a key-value store library on filesystem

    如何使用ModelSim生成fsdb文件借鉴.pdf

    如何使用ModelSim生成fsdb文件借鉴.pdf

    Debussy软件教程.pdf

    Debussy也可以读取vcd文件, 在它读入vcd文件时,先自动把vcd文件转换成fsdb 文件,然后再读入debussy。  Debussy提供的新的波形文件格式FSDB相比于VCD格式,压缩量大,加载速度快。  Debussy提供了PLI(for ...

    verilog可用的FSDB dump命令

    verilog可用的FSDB dump命令

    Cortex-M0 Verdi HWSW_Debug 使用总结.pdf

    本文主要介绍在Linux里面通过VCS仿真的Tarmac FSDB和Verdi的 HWSW_Debug工具进行C代码和FSDB波形的联合调试。

    Verdi and Siloti Command Reference

    Verdi用户手册最新版,基于Version U-2023.03, March 2023.Verdi主要用于生成fsdb模型,同VCS使用的vcd文件相比,verdi使用的fsdb相当于vcd文件经过霍夫编码压缩之后的精简版,可用于查看fsdb波形并追踪RTL代码。...

    基于多场景FSDB向量解析提高电源完整性分析覆盖率

    超高晶体管密度和不断增加的工作频率导致更严重的电源完整性问题,基于FSDB的门级向量进行仿真可实现更精确的分析结果。FSDB持续时间太长,在整个仿真周期内分析全芯片电源完整性是不切实际的,需解析其中实际案例...

    RuleBase to FSDB converter-开源

    RuleBase 形式验证工具的替换范围脚本。 输出 FSDB 格式的波形文件并在 Debussy nWave 查看器中打开它。

    fsdb

    我们已经预装了您入门所需的所有工具。 您可以安全地删除此README.md文件,或为您自己的项目进行更改。 不过,请至少阅读一次! 它包含有关Gitpod和我们使用的扩展的一些重要信息。 Gitpod提醒 要在Gitpod中运行...

    寻找波形文件切成10秒每片

    程序。支持按 时常 活动音检测 等语音检出 VAD

    fileTrans_filetrans_文件传输_android_

    2台android手机之间,一台选择server模式,另一台选择client模式, 彼此之间进行文件传输。代码验证通过,没有错误。

    产生testbench文件的perl脚本

    自动产生testbench文件,能够读取目标文件,并产生相应的testbench文件,并生成fsdb波形。需要使用者具有perl环境,使用方法为,如:perl gen_tb uart,注意这里不是uart.v 必须是uart,否则产生出来的是空文件。

    fsdb-开源

    Fsdb通过跟踪更改目录来加速slocate数据库文件的创建,因此在更新数据库时执行的工作量要少得多。 更新速度大约是updatedb的60倍。

    modelsim 后仿真 V2 最新版

    基于 1, ModelSim Se 6.5 2, Synplify Pro 9.4.2 3, ISE 10.1.03 后仿真的说明文档。 里面还有和debussy结合时产生FSDB文件,需要配置的环境变量,就可以和debussy联合调试

    Verdi培训整理笔记.rar

    技术背景 建立环境 ...理解FSDB Dumping(存储)任务和重用性 输入设计 在源代码界面调试 在波形界面调试 在原理图界面调试 在FSM界面调试 在时序界面调试 附录:常用参数 送给有需要的朋友

    太平洋网络java笔试题-Fsdb:Fsdb的只读发布历史记录

    太平洋网络java笔试题

    Modelsim与debussy协同仿真方法

    Modelsim与debussy协同仿真就是利用modelsim产生.fsdb文件

    excel转CAD 交通行业表格转CAD工具

    交通行业FSDB字体 ,EXCEL转CAD的小插件,一切工程量表都可以转

    后仿问题记录————vcs + xcelium

    主要记录个人在进行后仿时的操作步骤和遇到的一些小问题,仅供个人记录,偶这个脑子哦

    VCS编译选项

    vcs 编译选项txt文档,vcs script version : L-2016.06

Global site tag (gtag.js) - Google Analytics